Title | Type | SJR | H index | Total Docs. (2018) | Total Docs. (3years) | Total Refs. (2018) | Total Cites (3years) | Citable Docs. (3years) | Cites / Doc. (2years) | Ref. / Doc. (2018) | ||
---|---|---|---|---|---|---|---|---|---|---|---|---|
1 | Proceedings - IEEE INFOCOM | conference and proceedings | 0.849 | 241 | 312 | 1312 | 8378 | 5274 | 1300 | 3.83 | 26.85 | |
2 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 0.911 | 222 | 818 | 2433 | 20338 | 9523 | 2428 | 3.94 | 24.86 | |
3 | Proceedings of SPIE - The International Society for Optical Engineering | conference and proceedings | 0.238 | 193 | 13808 | 39688 | 214469 | 21549 | 38423 | 0.61 | 15.53 | |
4 | Proceedings - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | conference and proceedings | 0.478 | 185 | 1398 | 3847 | 31287 | 9320 | 3838 | 2.51 | 22.38 | |
5 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.890 | 156 | 66 | 120 | 3574 | 1684 | 115 | 12.24 | 54.15 | |
6 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.589 | 150 | 1075 | 2683 | 25386 | 6842 | 2678 | 2.33 | 23.61 | |
7 | Proceedings - IEEE International Conference on Data Mining, ICDM | conference and proceedings | 0.512 | 143 | 198 | 489 | 5280 | 1361 | 480 | 2.78 | 26.67 | |
8 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.585 | 139 | 1057 | 1270 | 23542 | 1590 | 1266 | 1.00 | 22.27 | |
9 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 1.449 | 133 | 68 | 117 | 3700 | 1231 | 111 | 11.55 | 54.41 | |
10 | Proceedings - Design Automation Conference | conference and proceedings | 0.454 | 130 | 180 | 579 | 3673 | 1919 | 571 | 3.06 | 20.41 | |
11 | Conference Record - International Conference on Communications | conference and proceedings | 0.360 | 129 | 967 | 2556 | 17228 | 4896 | 2551 | 2.01 | 17.82 | |
12 | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | conference and proceedings | 1.004 | 128 | 331 | 611 | 9580 | 3110 | 606 | 4.39 | 28.94 | |
13 | IEEE Vehicular Technology Conference | conference and proceedings | 0.209 | 127 | 921 | 3004 | 14172 | 3471 | 2979 | 1.12 | 15.39 | |
14 | Proceedings of the American Control Conference | conference and proceedings | 0.452 | 127 | 1089 | 3142 | 23688 | 4156 | 3128 | 1.32 | 21.75 | |
15 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 0.834 | 126 | 459 | 686 | 5669 | 1819 | 680 | 2.99 | 12.35 | |
16 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 0.925 | 124 | 77 | 249 | 4515 | 1624 | 236 | 6.67 | 58.64 | |
17 | SAE Technical Papers | conference and proceedings | 0.322 Q2 | 122 | 1948 | 6408 | 38057 | 3632 | 6363 | 0.59 | 19.54 | |
18 | Procedia Engineering | conference and proceedings | 0.277 | 117 | 399 | 13954 | 7183 | 15163 | 13749 | 1.02 | 18.00 | |
19 | Proceedings - International Conference on Image Processing, ICIP | conference and proceedings | 0.366 | 116 | 840 | 2808 | 17944 | 4052 | 2801 | 1.42 | 21.36 | |
20 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 2.371 | 114 | 210 | 630 | 1251 | 3475 | 622 | 6.20 | 5.96 | |
21 | Procedia CIRP | conference and proceedings | 0.725 | 103 | 1442 | 3458 | 26681 | 7872 | 3398 | 2.22 | 18.50 | |
22 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 2.432 | 103 | 89 | 175 | 3083 | 919 | 171 | 5.22 | 34.64 | |
23 | PESC Record - IEEE Annual Power Electronics Specialists Conference | conference and proceedings | 0.103 | 100 | 0 | 100 | 0 | 1 | 92 | 0.00 | 0.00 | |
24 | Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings | conference and proceedings | 0.308 | 99 | 1500 | 4726 | 21497 | 5025 | 4718 | 1.00 | 14.33 | |
25 | Proceedings of the Annual Hawaii International Conference on System Sciences | conference and proceedings | 0.254 | 98 | 709 | 2143 | 23056 | 2605 | 1802 | 1.28 | 32.52 | |
26 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 0.462 | 97 | 558 | 1688 | 9220 | 2973 | 1679 | 1.89 | 16.52 | |
27 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 0.936 | 97 | 65 | 117 | 3264 | 774 | 112 | 6.62 | 50.22 | |
28 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.388 | 97 | 0 | 323 | 0 | 741 | 321 | 0.00 | 0.00 | |
29 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 0.687 | 97 | 0 | 40 | 0 | 252 | 37 | 0.00 | 0.00 | |
30 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.336 | 93 | 0 | 225 | 0 | 587 | 224 | 0.00 | 0.00 | |
31 | IEEE Wireless Communications and Networking Conference, WCNC | conference and proceedings | 0.314 | 93 | 512 | 1619 | 8560 | 2260 | 1614 | 1.40 | 16.72 | |
32 | IFAC-PapersOnLine | conference and proceedings | 0.298 Q3 | 92 | 1315 | 7507 | 22296 | 8244 | 7455 | 1.10 | 16.96 | |
33 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.112 | 87 | 0 | 0 | 0 | 0 | 0 | 0.00 | 0.00 | |
34 | IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC | conference and proceedings | 0.320 | 85 | 0 | 470 | 0 | 776 | 466 | 0.00 | 0.00 | |
35 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 1.065 | 83 | 89 | 274 | 771 | 912 | 268 | 3.24 | 8.66 | |
36 | IEEE International Test Conference (TC) | conference and proceedings | 0.138 | 83 | 61 | 227 | 1708 | 184 | 219 | 1.12 | 28.00 | |
37 | Proceedings - IEEE International Symposium on Circuits and Systems | conference and proceedings | 0.237 | 83 | 976 | 2261 | 14758 | 2369 | 2252 | 1.06 | 15.12 | |
38 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.453 | 80 | 420 | 1054 | 3831 | 1498 | 1052 | 1.42 | 9.12 | |
39 | Procedia Manufacturing | conference and proceedings | 0.313 Q2 | 80 | 1163 | 2125 | 21223 | 3137 | 2120 | 1.69 | 18.25 | |
40 | Proceedings - Electronic Components and Technology Conference | conference and proceedings | 0.337 | 80 | 366 | 1084 | 5141 | 1552 | 1078 | 1.55 | 14.05 | |
41 | IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC | conference and proceedings | 0.289 | 74 | 0 | 440 | 0 | 563 | 439 | 0.00 | 0.00 | |
42 | 33rd International Conference on Machine Learning, ICML 2016 | conference and proceedings | 2.718 | 73 | 0 | 345 | 0 | 5273 | 340 | 7.44 | 0.00 | |
43 | IEEE Aerospace Conference Proceedings | conference and proceedings | 0.281 | 73 | 423 | 1284 | 7813 | 1144 | 1281 | 0.89 | 18.47 | |
44 | IEEE Power and Energy Society General Meeting | conference and proceedings | 0.415 | 72 | 1427 | 1601 | 21753 | 2207 | 1599 | 1.40 | 15.24 | |
45 | ACM/IEEE International Conference on Human-Robot Interaction | conference and proceedings | 0.507 | 70 | 252 | 601 | 3433 | 1272 | 591 | 2.00 | 13.62 | |
46 | ASHRAE Transactions | conference and proceedings | 0.389 | 70 | 33 | 200 | 748 | 118 | 183 | 0.52 | 22.67 | |
47 | Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference | conference and proceedings | 0.326 | 70 | 212 | 220 | 2831 | 258 | 219 | 1.17 | 13.35 | |
48 | Proceedings - IEEE Military Communications Conference | conference and proceedings | 0.192 | 69 | 180 | 438 | 3202 | 417 | 434 | 0.79 | 17.79 | |
49 | Proceedings - International Conference on Network Protocols, ICNP | conference and proceedings | 0.322 | 69 | 58 | 211 | 1325 | 321 | 203 | 1.52 | 22.84 | |
50 | GIS: Proceedings of the ACM International Symposium on Advances in Geographic Information Systems | conference and proceedings | 0.399 | 67 | 106 | 300 | 2182 | 568 | 294 | 1.49 | 20.58 |
Follow us on @ScimagoJR
Scimago Lab, Copyright 2007-2024. Data Source: Scopus®