1 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 3.667 | 103 | 70 | 252 | 1946 | 1001 | 246 | 3.60 | 27.80 | |
2 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 3.535 | 114 | 212 | 654 | 1216 | 3000 | 644 | 4.92 | 5.74 | |
3 | SOUPS 2012 - Proceedings of the 8th Symposium on Usable Privacy and Security | conference and proceedings | 1.990 | 13 | 0 | 17 | 0 | 136 | 15 | 8.00 | 0.00 | |
4 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 1.919 | 133 | 47 | 153 | 1854 | 1099 | 143 | 6.08 | 39.45 | |
5 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 1.869 | 83 | 101 | 286 | 766 | 980 | 282 | 3.78 | 7.58 | |
6 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 1.840 | 66 | 100 | 353 | 536 | 692 | 349 | 2.04 | 5.36 | |
7 | EuroSys'11 - Proceedings of the EuroSys 2011 Conference | conference and proceedings | 1.751 | 20 | 0 | 26 | 0 | 349 | 24 | 0.00 | 0.00 | |
8 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.700 | 124 | 0 | 47 | 0 | 303 | 44 | 0.00 | 0.00 | |
9 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 1.691 | 97 | 44 | 136 | 1517 | 881 | 128 | 5.47 | 34.48 | |
10 | 2012 IEEE International Conference on Computational Photography, ICCP 2012 | conference and proceedings | 1.582 | 13 | 0 | 15 | 0 | 69 | 14 | 4.60 | 0.00 | |
11 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.463 | 156 | 91 | 285 | 2845 | 1311 | 265 | 3.89 | 31.26 | |
12 | EuroSys'12 - Proceedings of the EuroSys 2012 Conference | conference and proceedings | 1.397 | 19 | 0 | 27 | 0 | 222 | 25 | 8.22 | 0.00 | |
13 | Proceedings of the 8th ACM European Conference on Computer Systems, EuroSys 2013 | conference and proceedings | 1.377 | 23 | 0 | 30 | 0 | 205 | 28 | 6.83 | 0.00 | |
14 | 7th International Workshop on Data Management on New Hardware, DaMoN 2011 - In Conjunction with ACM SIGMOD/PODS Conference | conference and proceedings | 1.353 | 6 | 0 | 9 | 0 | 16 | 7 | 0.00 | 0.00 | |
15 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.335 | 97 | 59 | 144 | 1922 | 803 | 139 | 4.58 | 32.58 | |
16 | SIGCOMM'12 - Proceedings of the ACM SIGCOMM 2012 Conference Applications, Technologies, Architectures, and Protocols for Computer Communication | conference and proceedings | 1.266 | 30 | 0 | 75 | 0 | 540 | 72 | 7.20 | 0.00 | |
17 | Proceedings - IEEE INFOCOM | conference and proceedings | 1.189 | 241 | 506 | 1340 | 10278 | 5909 | 1334 | 3.97 | 20.31 | |
18 | Annual ACM Symposium on Parallelism in Algorithms and Architectures | conference and proceedings | 1.157 | 54 | 44 | 124 | 1004 | 264 | 118 | 1.67 | 22.82 | |
19 | MobiSys'11 - Compilation Proceedings of the 9th International Conference on Mobile Systems, Applications and Services and Co-located Workshops | conference and proceedings | 1.135 | 23 | 0 | 57 | 0 | 387 | 54 | 0.00 | 0.00 | |
20 | SOUPS 2011 - Proceedings of the 7th Symposium on Usable Privacy and Security | conference and proceedings | 1.080 | 12 | 0 | 17 | 0 | 85 | 15 | 0.00 | 0.00 | |
21 | Proceedings - IEEE Computer Security Foundations Symposium | conference and proceedings | 1.056 | 44 | 0 | 23 | 0 | 67 | 21 | 0.00 | 0.00 | |
22 | Proceedings of the Workshop on Algorithm Engineering and Experiments | conference and proceedings | 1.044 | 24 | 16 | 33 | 304 | 58 | 30 | 1.76 | 19.00 | |
23 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 1.012 | 222 | 1037 | 2732 | 21428 | 7172 | 2726 | 2.44 | 20.66 | |
24 | MobiSys'11 - Compilation Proceedings of the 9th Int. Conf. on Mobile Systems, Applications, and Services and Co-located Workshops - 2011 Workshop on Mobile Cloud Computing and Services, MCS'11 | conference and proceedings | 1.004 | 4 | 0 | 7 | 0 | 37 | 5 | 0.00 | 0.00 | |
25 | MICRO 2013 - Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture | conference and proceedings | 0.923 | 28 | 0 | 40 | 0 | 113 | 37 | 2.83 | 0.00 | |
26 | IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers | conference and proceedings | 0.915 | 50 | 104 | 357 | 752 | 587 | 351 | 1.86 | 7.23 | |
27 | 2011 IEEE International Conference on Smart Grid Communications, SmartGridComm 2011 | conference and proceedings | 0.908 | 35 | 0 | 103 | 0 | 406 | 102 | 0.00 | 0.00 | |
28 | MobiSys'11 - Compilation Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services and Co-located Workshops - MobiArch 2011 Workshop, MobiArch'11 | conference and proceedings | 0.902 | 6 | 0 | 9 | 0 | 50 | 7 | 0.00 | 0.00 | |
29 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.822 | 139 | 1115 | 3889 | 22621 | 4552 | 3876 | 1.08 | 20.29 | |
30 | HSCC'11 - Proceedings of the 2011 ACM/SIGBED Hybrid Systems: Computation and Control | conference and proceedings | 0.810 | 18 | 0 | 37 | 0 | 88 | 35 | 0.00 | 0.00 | |
31 | European Solid-State Circuits Conference | conference and proceedings | 0.788 | 40 | 114 | 363 | 1122 | 431 | 357 | 1.08 | 9.84 | |
32 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.774 | 150 | 751 | 2549 | 15695 | 4926 | 2542 | 1.78 | 20.90 | |
33 | HSCC 2013 - Proceedings of the 16th International Conference on Hybrid Systems: Computation and Control, Part of CPSWeek 2013 | conference and proceedings | 0.755 | 17 | 0 | 43 | 0 | 96 | 41 | 2.23 | 0.00 | |
34 | Procedia CIRP | conference and proceedings | 0.755 | 103 | 869 | 924 | 16601 | 1200 | 903 | 1.30 | 19.10 | |
35 | Proceedings of the 4th Workshop on European Workshop on System Security, EUROSEC'11 | conference and proceedings | 0.747 | 7 | 0 | 10 | 0 | 31 | 8 | 0.00 | 0.00 | |
36 | SOUPS 2013 - Proceedings of the 9th Symposium on Usable Privacy and Security | conference and proceedings | 0.736 | 15 | 0 | 17 | 0 | 57 | 15 | 3.35 | 0.00 | |
37 | IEEE PES Innovative Smart Grid Technologies Conference Europe, ISGT Europe | conference and proceedings | 0.734 | 24 | 0 | 75 | 0 | 216 | 74 | 0.00 | 0.00 | |
38 | Pacific Symposium on Biocomputing 2011, PSB 2011 | conference and proceedings | 0.731 | 12 | 0 | 37 | 0 | 54 | 34 | 0.00 | 0.00 | |
39 | Embedded Systems Week 2011, ESWEEK 2011 - Proceedings of the 9th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS'11 | conference and proceedings | 0.725 | 18 | 0 | 43 | 0 | 153 | 41 | 0.00 | 0.00 | |
40 | Proceedings - Design Automation Conference | conference and proceedings | 0.720 | 130 | 214 | 568 | 4157 | 1697 | 564 | 3.18 | 19.43 | |
41 | ASCE Standard | conference and proceedings | 0.680 | 7 | 0 | 7 | 0 | 5 | 7 | 0.40 | 0.00 | |
42 | Technical Digest - IEEE Compound Semiconductor Integrated Circuit Symposium, CSIC | conference and proceedings | 0.679 | 34 | 66 | 199 | 690 | 268 | 193 | 1.40 | 10.45 | |
43 | IEEE-RAS International Conference on Humanoid Robots | conference and proceedings | 0.678 | 53 | 1 | 252 | 17 | 374 | 250 | 1.36 | 17.00 | |
44 | Proceedings of the International Symposium on Power Semiconductor Devices and ICs | conference and proceedings | 0.666 | 50 | 114 | 297 | 955 | 495 | 293 | 1.61 | 8.38 | |
45 | Proceedings of ACM Symposium on Access Control Models and Technologies, SACMAT | conference and proceedings | 0.644 | 49 | 24 | 85 | 568 | 176 | 79 | 1.97 | 23.67 | |
46 | Proceedings of the American Control Conference | conference and proceedings | 0.644 | 127 | 938 | 3134 | 18428 | 3726 | 3126 | 1.19 | 19.65 | |
47 | AIAA/AAS Astrodynamics Specialist Conference 2012 | conference and proceedings | 0.642 | 17 | 0 | 126 | 0 | 142 | 125 | 1.13 | 0.00 | |
48 | Proceedings of the 1st Workshop on Building Analysis Datasets and Gathering Experience Returns for Security, BADGERS 2011 | conference and proceedings | 0.635 | 7 | 0 | 17 | 0 | 37 | 15 | 0.00 | 0.00 | |
49 | IEEE International Reliability Physics Symposium Proceedings | conference and proceedings | 0.634 | 59 | 200 | 536 | 2861 | 920 | 532 | 1.72 | 14.31 | |
50 | Proceedings of the Custom Integrated Circuits Conference | conference and proceedings | 0.628 | 58 | 0 | 438 | 0 | 518 | 433 | 1.05 | 0.00 | |