1 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 4.144 | 103 | 75 | 225 | 2030 | 792 | 219 | 2.93 | 27.07 | 14.29 | |
2 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 3.431 | 133 | 46 | 121 | 1406 | 1062 | 114 | 9.15 | 30.57 | 10.37 | |
3 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 2.889 | 114 | 207 | 844 | 1262 | 3202 | 740 | 4.54 | 6.10 | 13.09 | |
4 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 2.560 | 156 | 29 | 96 | 942 | 733 | 91 | 7.44 | 32.48 | 9.20 | |
5 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 2.364 | 124 | 55 | 126 | 1609 | 717 | 118 | 4.22 | 29.25 | 15.56 | |
6 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 1.977 | 97 | 43 | 107 | 1138 | 771 | 103 | 6.26 | 26.47 | 14.09 | |
7 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 1.870 | 83 | 94 | 271 | 780 | 738 | 265 | 2.99 | 8.30 | 13.67 | |
8 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 1.848 | 66 | 116 | 311 | 580 | 704 | 305 | 2.44 | 5.00 | 12.78 | |
9 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.709 | 97 | 38 | 101 | 1021 | 541 | 96 | 5.11 | 26.87 | 20.33 | |
10 | MobiSys 2006 - Fourth International Conference on Mobile Systems, Applications and Services | conference and proceedings | 1.686 | 17 | 0 | 21 | 0 | 148 | 19 | 0.00 | 0.00 | 0.00 | |
11 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 1.662 | 126 | 228 | 811 | 2217 | 1993 | 732 | 2.61 | 9.72 | 15.33 | |
12 | 2007 2nd IEEE International Symposium on New Frontiers in Dynamic Spectrum Access Networks | conference and proceedings | 1.587 | 31 | 0 | 86 | 0 | 477 | 81 | 5.55 | 0.00 | 0.00 | |
13 | 2008 IEEE International Symposium on Workload Characterization, IISWC'08 | conference and proceedings | 1.512 | 13 | 0 | 19 | 0 | 93 | 17 | 4.89 | 0.00 | 0.00 | |
14 | Proceedings - IEEE INFOCOM | conference and proceedings | 1.445 | 241 | 493 | 1076 | 8963 | 4648 | 1064 | 3.92 | 18.18 | 18.91 | |
15 | UIST: Proceedings of the Annual ACM Symposium on User Interface Softaware and Technology | conference and proceedings | 1.306 | 27 | 0 | 37 | 0 | 177 | 36 | 4.78 | 0.00 | 0.00 | |
16 | Proceedings - IEEE Computer Security Foundations Symposium | conference and proceedings | 1.288 | 44 | 24 | 52 | 772 | 175 | 48 | 3.37 | 32.17 | 5.45 | |
17 | SOSP'07 - Proceedings of 21st ACM SIGOPS Symposium on Operating Systems Principles | conference and proceedings | 1.267 | 21 | 0 | 25 | 0 | 180 | 23 | 7.20 | 0.00 | 0.00 | |
18 | Proceedings of the Fifth International Conference on Information Processing in Sensor Networks, IPSN '06 | conference and proceedings | 1.265 | 33 | 0 | 63 | 0 | 392 | 62 | 0.00 | 0.00 | 0.00 | |
19 | ICML 2006 - Proceedings of the 23rd International Conference on Machine Learning | conference and proceedings | 1.200 | 50 | 0 | 142 | 0 | 679 | 140 | 0.00 | 0.00 | 0.00 | |
20 | Asphalt Paving Technology: Association of Asphalt Paving Technologists-Proceedings of the Technical Sessions | conference and proceedings | 1.140 | 59 | 51 | 92 | 636 | 113 | 88 | 1.08 | 12.47 | 9.43 | |
21 | Proceedings of ACM Symposium on Access Control Models and Technologies, SACMAT | conference and proceedings | 1.083 | 49 | 28 | 82 | 622 | 313 | 76 | 3.74 | 22.21 | 28.38 | |
22 | Annual ACM Symposium on Parallelism in Algorithms and Architectures | conference and proceedings | 1.072 | 54 | 45 | 143 | 809 | 276 | 138 | 2.22 | 17.98 | 12.40 | |
23 | Proceedings of the Seventeenth ACM Conference on Hypertext and Hypermedia, HT'06 | conference and proceedings | 1.057 | 12 | 0 | 25 | 0 | 163 | 24 | 0.00 | 0.00 | 0.00 | |
24 | Proceedings - Design Automation Conference | conference and proceedings | 1.041 | 130 | 195 | 607 | 2926 | 1826 | 602 | 2.70 | 15.01 | 15.70 | |
25 | ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference | conference and proceedings | 1.033 | 19 | 0 | 121 | 0 | 185 | 120 | 1.53 | 0.00 | 0.00 | |
26 | Symposium on Computer Animation 2007 - ACM SIGGRAPH / Eurographics Symposium Proceedings, SCA 2007 | conference and proceedings | 1.009 | 22 | 0 | 29 | 0 | 179 | 28 | 6.17 | 0.00 | 0.00 | |
27 | UIST: Proceedings of the Annual ACM Symposium on User Interface Softaware and Technology | conference and proceedings | 0.997 | 28 | 0 | 42 | 0 | 170 | 41 | 0.00 | 0.00 | 0.00 | |
28 | ESSCIRC 2008 - Proceedings of the 34th European Solid-State Circuits Conference | conference and proceedings | 0.981 | 19 | 0 | 121 | 0 | 164 | 119 | 1.36 | 0.00 | 0.00 | |
29 | Proceedings of the Twenty-Ninth Annual International ACM SIGIR Conference on Research and Development in Information Retrieval | conference and proceedings | 0.979 | 49 | 0 | 154 | 0 | 814 | 151 | 0.00 | 0.00 | 0.00 | |
30 | 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC | conference and proceedings | 0.963 | 23 | 0 | 118 | 0 | 205 | 117 | 1.74 | 0.00 | 0.00 | |
31 | ASCE Standard | conference and proceedings | 0.945 | 7 | 1 | 10 | 20 | 16 | 10 | 2.00 | 20.00 | 0.00 | |
32 | Proceedings of the 2007 Workshop on Peer-to-Peer Streaming and IP-TV, P2P-TV'07 | conference and proceedings | 0.918 | 5 | 0 | 10 | 0 | 45 | 8 | 4.50 | 0.00 | 0.00 | |
33 | IPSN 2007: Proceedings of the Sixth International Symposium on Information Processing in Sensor Networks | conference and proceedings | 0.912 | 39 | 0 | 76 | 0 | 471 | 75 | 6.20 | 0.00 | 0.00 | |
34 | Proceedings of the 25th International Symposium on Theoretical Aspects of Computer Science, STACS 2008 | conference and proceedings | 0.896 | 15 | 0 | 59 | 0 | 67 | 57 | 1.14 | 0.00 | 0.00 | |
35 | PLAS 2006 - Proceedings of the 2006 Programming Languages and Analysis for Security Workshop | conference and proceedings | 0.890 | 8 | 0 | 13 | 0 | 27 | 11 | 0.00 | 0.00 | 0.00 | |
36 | 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications 2006, CROWNCOM | conference and proceedings | 0.884 | 1 | 0 | 2 | 0 | 5 | 1 | 2.50 | 0.00 | 0.00 | |
37 | International Symposium on Memory Management, ISMM | conference and proceedings | 0.868 | 31 | 17 | 54 | 419 | 98 | 50 | 2.31 | 24.65 | 17.95 | |
38 | WUWNet 2006 - Proceedings of the First ACM International Workshop on Underwater Networks | conference and proceedings | 0.865 | 17 | 0 | 20 | 0 | 130 | 19 | 0.00 | 0.00 | 0.00 | |
39 | IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers | conference and proceedings | 0.841 | 50 | 142 | 470 | 1091 | 789 | 461 | 1.83 | 7.68 | 11.36 | |
40 | VANET'08 - Proceedings of the 5th ACM International Workshop on VehiculAr Inter-NETworking | conference and proceedings | 0.840 | 14 | 0 | 18 | 0 | 50 | 16 | 2.78 | 0.00 | 0.00 | |
41 | 45th Annual Allerton Conference on Communication, Control, and Computing 2007 | conference and proceedings | 0.811 | 28 | 0 | 248 | 0 | 332 | 244 | 1.48 | 0.00 | 0.00 | |
42 | Proceedings of the 2007 IEEE Information Theory Workshop on Information Theory for Wireless Networks, ITW | conference and proceedings | 0.809 | 13 | 0 | 51 | 0 | 68 | 49 | 1.33 | 0.00 | 0.00 | |
43 | SenSys'06: Proceedings of the Fourth International Conference on Embedded Networked Sensor Systems | conference and proceedings | 0.809 | 26 | 0 | 73 | 0 | 372 | 72 | 0.00 | 0.00 | 0.00 | |
44 | VEE 2006 - Proceedings of the Second International Conference on Virtual Execution Environments | conference and proceedings | 0.794 | 12 | 0 | 21 | 0 | 78 | 19 | 0.00 | 0.00 | 0.00 | |
45 | WPES'07 - Proceedings of the 2007 ACM Workshop on Privacy in Electronic Society | conference and proceedings | 0.794 | 11 | 0 | 18 | 0 | 43 | 16 | 2.39 | 0.00 | 0.00 | |
46 | Proceedings - International Conference on Network Protocols, ICNP | conference and proceedings | 0.789 | 69 | 39 | 128 | 823 | 367 | 118 | 2.37 | 21.10 | 23.02 | |
47 | Proceedings - 8th IEEE Workshop on Mobile Computing Systems and Applications, HOTMOBILE 2007 | conference and proceedings | 0.780 | 9 | 0 | 16 | 0 | 26 | 14 | 1.63 | 0.00 | 0.00 | |
48 | FGR 2006: Proceedings of the 7th International Conference on Automatic Face and Gesture Recognition | conference and proceedings | 0.777 | 31 | 0 | 105 | 0 | 314 | 103 | 0.00 | 0.00 | 0.00 | |
49 | Transactions of the North American Manufacturing Research Institute of SME | conference and proceedings | 0.767 | 26 | 86 | 226 | 1387 | 223 | 220 | 1.02 | 16.13 | 13.64 | |
50 | VANET - Proceedings of the Second ACM International Workshop on Vehicular Ad Hoc Networks | conference and proceedings | 0.747 | 13 | 0 | 20 | 0 | 77 | 17 | 0.00 | 0.00 | 0.00 | |