1 | Proceedings - IEEE INFOCOM | conference and proceedings | 4.310 | 241 | 232 | 586 | 4665 | 4063 | 576 | 6.37 | 20.11 | |
2 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 1.342 | 222 | 717 | 2023 | 9921 | 3014 | 2015 | 1.34 | 13.84 | |
3 | Proceedings of SPIE - The International Society for Optical Engineering | conference and proceedings | 0.284 | 193 | 13780 | 48901 | 171344 | 18352 | 47683 | 0.38 | 12.43 | |
4 | Proceedings - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | conference and proceedings | 0.433 | 185 | 1265 | 3151 | 11479 | 2951 | 3134 | 0.99 | 9.07 | |
5 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 1.306 | 156 | 20 | 22 | 544 | 59 | 21 | 2.68 | 27.20 | |
6 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.735 | 150 | 625 | 1269 | 7942 | 1215 | 1256 | 0.98 | 12.71 | |
7 | Proceedings - IEEE International Conference on Data Mining, ICDM | conference and proceedings | 0.425 | 143 | 130 | 235 | 1901 | 278 | 230 | 1.18 | 14.62 | |
8 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.761 | 139 | 1139 | 2754 | 16048 | 2283 | 2740 | 0.88 | 14.09 | |
9 | Proceedings - Design Automation Conference | conference and proceedings | 1.988 | 130 | 183 | 472 | 2466 | 1451 | 469 | 3.08 | 13.48 | |
10 | Conference Record - International Conference on Communications | conference and proceedings | 0.629 | 129 | 706 | 1649 | 8379 | 1763 | 1631 | 1.13 | 11.87 | |
11 | IEEE Vehicular Technology Conference | conference and proceedings | 0.426 | 127 | 1333 | 3160 | 13136 | 2207 | 3144 | 0.66 | 9.85 | |
12 | Proceedings of the American Control Conference | conference and proceedings | 0.555 | 127 | 933 | 2728 | 12245 | 1868 | 2715 | 0.69 | 13.12 | |
13 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 4.007 | 126 | 270 | 679 | 1962 | 2177 | 676 | 3.35 | 7.27 | |
14 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 1.922 | 124 | 36 | 102 | 949 | 359 | 99 | 3.54 | 26.36 | |
15 | SAE Technical Papers | conference and proceedings | 0.232 Q2 | 122 | 2960 | 8585 | 33448 | 2589 | 8580 | 0.28 | 11.30 | |
16 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 2.967 | 114 | 419 | 754 | 789 | 1315 | 749 | 1.75 | 1.88 | |
17 | IEEE International Conference on Image Processing | conference and proceedings | 0.484 | 107 | 828 | 2358 | 8185 | 1989 | 2348 | 0.87 | 9.89 | |
18 | GLOBECOM - IEEE Global Telecommunications Conference | conference and proceedings | 0.559 | 102 | 820 | 1674 | 9698 | 1435 | 1665 | 0.78 | 11.83 | |
19 | PESC Record - IEEE Annual Power Electronics Specialists Conference | conference and proceedings | 1.033 | 100 | 320 | 967 | 3114 | 701 | 959 | 0.77 | 9.73 | |
20 | Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings | conference and proceedings | 0.140 | 99 | 1019 | 2590 | 10440 | 326 | 2582 | 0.15 | 10.25 | |
21 | Proceedings of the Annual Hawaii International Conference on System Sciences | conference and proceedings | 0.192 | 98 | 0 | 940 | 0 | 376 | 877 | 0.69 | 0.00 | |
22 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 1.505 | 97 | 188 | 550 | 1712 | 532 | 545 | 1.01 | 9.11 | |
23 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 2.202 | 97 | 32 | 27 | 777 | 103 | 26 | 3.81 | 24.28 | |
24 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.756 | 97 | 299 | 500 | 3642 | 666 | 497 | 1.37 | 12.18 | |
25 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.506 | 93 | 167 | 124 | 1876 | 116 | 124 | 0.00 | 11.23 | |
26 | IEEE Wireless Communications and Networking Conference, WCNC | conference and proceedings | 0.737 | 93 | 376 | 172 | 4520 | 237 | 170 | 1.38 | 12.02 | |
27 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.925 | 87 | 301 | 1305 | 3400 | 798 | 1297 | 0.66 | 11.30 | |
28 | IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC | conference and proceedings | 0.372 | 85 | 341 | 475 | 3578 | 262 | 470 | 0.54 | 10.49 | |
29 | Proceedings of the IEEE Visualization Conference | conference and proceedings | 0.547 | 85 | 77 | 229 | 1770 | 601 | 225 | 2.72 | 22.99 | |
30 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 2.308 | 83 | 89 | 85 | 455 | 217 | 85 | 0.00 | 5.11 | |
31 | IEEE International Test Conference (TC) | conference and proceedings | 1.557 | 83 | 184 | 491 | 2280 | 906 | 488 | 1.74 | 12.39 | |
32 | Proceedings - IEEE International Symposium on Circuits and Systems | conference and proceedings | 0.321 | 83 | 1218 | 2216 | 11108 | 1216 | 2201 | 0.59 | 9.12 | |
33 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.896 | 80 | 592 | 1535 | 4556 | 1459 | 1529 | 1.03 | 7.70 | |
34 | Proceedings - Electronic Components and Technology Conference | conference and proceedings | 0.390 | 80 | 313 | 830 | 3143 | 616 | 827 | 0.71 | 10.04 | |
35 | IECON Proceedings (Industrial Electronics Conference) | conference and proceedings | 0.270 | 79 | 526 | 1505 | 5697 | 439 | 1494 | 0.33 | 10.83 | |
36 | Proceedings of the International Conference on Autonomous Agents | conference and proceedings | 0.765 | 77 | 247 | 367 | 2784 | 516 | 362 | 1.47 | 11.27 | |
37 | Proceedings - Annual Computer Security Applications Conference, ACSAC | conference and proceedings | 0.447 | 76 | 44 | 136 | 871 | 151 | 131 | 0.99 | 19.80 | |
38 | Proceedings of the Hawaii International Conference on System Science | conference and proceedings | 0.298 | 76 | 0 | 881 | 0 | 701 | 879 | 0.67 | 0.00 | |
39 | IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC | conference and proceedings | 0.325 | 74 | 618 | 1025 | 5995 | 494 | 1014 | 0.50 | 9.70 | |
40 | IEEE Aerospace Conference Proceedings | conference and proceedings | 0.301 | 73 | 403 | 1160 | 3877 | 464 | 1139 | 0.40 | 9.62 | |
41 | ASHRAE Transactions | conference and proceedings | 0.441 | 70 | 163 | 688 | 2617 | 265 | 683 | 0.30 | 16.06 | |
42 | Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference | conference and proceedings | 0.369 | 70 | 240 | 2514 | 1895 | 855 | 2494 | 0.29 | 7.90 | |
43 | Proceedings - IEEE Military Communications Conference | conference and proceedings | 0.242 | 69 | 254 | 806 | 2527 | 281 | 800 | 0.33 | 9.95 | |
44 | Proceedings - IEEE International Workshop on Robot and Human Interactive Communication | conference and proceedings | 0.251 | 67 | 70 | 287 | 736 | 116 | 282 | 0.50 | 10.51 | |
45 | Proceedings of the IEEE Conference on Evolutionary Computation, ICEC | conference and proceedings | 0.318 | 67 | 0 | 303 | 0 | 306 | 300 | 1.09 | 0.00 | |
46 | Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics | conference and proceedings | 0.121 | 66 | 0 | 6 | 0 | 0 | 1 | 0.00 | 0.00 | |
47 | Conference Record of the IEEE Photovoltaic Specialists Conference | conference and proceedings | 0.275 | 66 | 0 | 868 | 0 | 788 | 865 | 0.85 | 0.00 | |
48 | IEEE Symposium on VLSI Circuits, Digest of Technical Papers | conference and proceedings | 2.372 | 66 | 83 | 398 | 488 | 746 | 394 | 2.08 | 5.88 | |
49 | Proceedings - International Symposium on Biomedical Imaging | conference and proceedings | 0.214 | 65 | 0 | 268 | 0 | 136 | 267 | 0.51 | 0.00 | |
50 | Materials Research Society Symposium - Proceedings | conference and proceedings | 0.266 | 64 | 3414 | 10331 | 44750 | 2907 | 10095 | 0.27 | 13.11 | |