Title | Type | SJR | H index | Total Docs. (2007) | Total Docs. (3years) | Total Refs. (2007) | Total Cites (3years) | Citable Docs. (3years) | Cites / Doc. (2years) | Ref. / Doc. (2007) | %Female (2007) | ||
---|---|---|---|---|---|---|---|---|---|---|---|---|---|
1 | Proceedings - IEEE INFOCOM | conference and proceedings | 2.465 | 241 | 323 | 885 | 6596 | 5492 | 871 | 5.27 | 20.42 | 20.67 | |
2 | Proceedings - IEEE International Conference on Robotics and Automation | conference and proceedings | 0.549 | 222 | 809 | 2309 | 13398 | 4333 | 2302 | 1.83 | 16.56 | 13.05 | |
3 | Proceedings of SPIE - The International Society for Optical Engineering | conference and proceedings | 0.245 | 193 | 15359 | 52540 | 199602 | 19525 | 51074 | 0.35 | 13.00 | 19.64 | |
4 | Proceedings - ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing | conference and proceedings | 0.423 | 185 | 1351 | 4306 | 14149 | 4743 | 4290 | 1.09 | 10.47 | 17.04 | |
5 | Proceedings - IEEE Symposium on Security and Privacy | conference and proceedings | 3.735 | 156 | 31 | 73 | 857 | 716 | 68 | 8.58 | 27.65 | 21.74 | |
6 | IEEE International Conference on Intelligent Robots and Systems | conference and proceedings | 0.267 | 150 | 691 | 974 | 11329 | 841 | 972 | 0.86 | 16.40 | 11.33 | |
7 | Proceedings - IEEE International Conference on Data Mining, ICDM | conference and proceedings | 0.343 | 143 | 218 | 466 | 3488 | 469 | 458 | 1.01 | 16.00 | 20.00 | |
8 | Proceedings of the IEEE Conference on Decision and Control | conference and proceedings | 0.518 | 139 | 1054 | 2075 | 18568 | 2012 | 2066 | 0.73 | 17.62 | 15.19 | |
9 | Proceedings - International Symposium on Computer Architecture | conference and proceedings | 1.340 | 133 | 47 | 93 | 1514 | 522 | 86 | 5.61 | 32.21 | 10.82 | |
10 | Proceedings - Design Automation Conference | conference and proceedings | 1.271 | 130 | 203 | 596 | 2849 | 1850 | 592 | 2.64 | 14.03 | 16.40 | |
11 | Conference Record - International Conference on Communications | conference and proceedings | 0.558 | 129 | 1076 | 2527 | 16020 | 2981 | 2499 | 1.05 | 14.89 | 18.22 | |
12 | IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops | conference and proceedings | 0.890 | 128 | 0 | 355 | 0 | 674 | 348 | 1.94 | 0.00 | 0.00 | |
13 | IEEE Vehicular Technology Conference | conference and proceedings | 0.319 | 127 | 1102 | 4268 | 12818 | 3153 | 4222 | 0.70 | 11.63 | 17.64 | |
14 | Proceedings of the American Control Conference | conference and proceedings | 0.504 | 127 | 1028 | 2901 | 17487 | 2865 | 2885 | 1.00 | 17.01 | 13.36 | |
15 | Technical Digest - International Electron Devices Meeting | conference and proceedings | 1.659 | 126 | 282 | 829 | 2237 | 1675 | 777 | 1.58 | 7.93 | 13.70 | |
16 | Proceedings of the Annual International Symposium on Microarchitecture, MICRO | conference and proceedings | 0.959 | 124 | 38 | 112 | 1077 | 410 | 105 | 3.09 | 28.34 | 10.81 | |
17 | SAE Technical Papers | conference and proceedings | 0.243 Q2 | 122 | 3445 | 8771 | 45843 | 3455 | 8762 | 0.38 | 13.31 | 11.67 | |
18 | Proceedings - International Conference on Image Processing, ICIP | conference and proceedings | 0.385 | 116 | 457 | 3080 | 4650 | 2651 | 3066 | 0.77 | 10.18 | 19.03 | |
19 | Digest of Technical Papers - IEEE International Solid-State Circuits Conference | conference and proceedings | 2.378 | 114 | 274 | 1002 | 1241 | 2296 | 930 | 2.17 | 4.53 | 11.19 | |
20 | Proceedings - Annual IEEE Symposium on Foundations of Computer Science, FOCS | conference and proceedings | 3.082 | 103 | 68 | 203 | 1661 | 760 | 198 | 3.48 | 24.43 | 15.54 | |
21 | GLOBECOM - IEEE Global Telecommunications Conference | conference and proceedings | 0.458 | 102 | 1076 | 2637 | 14373 | 2649 | 2620 | 0.78 | 13.36 | 18.68 | |
22 | PESC Record - IEEE Annual Power Electronics Specialists Conference | conference and proceedings | 0.634 | 100 | 495 | 1815 | 5992 | 1622 | 1807 | 0.68 | 12.11 | 17.78 | |
23 | Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings | conference and proceedings | 0.222 | 99 | 2010 | 5193 | 20942 | 2356 | 5182 | 0.40 | 10.42 | 21.85 | |
24 | Proceedings of the Annual Hawaii International Conference on System Sciences | conference and proceedings | 0.274 | 98 | 611 | 1226 | 14840 | 1221 | 1107 | 1.00 | 24.29 | 24.66 | |
25 | Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC | conference and proceedings | 0.879 | 97 | 266 | 879 | 2985 | 989 | 871 | 1.00 | 11.22 | 15.53 | |
26 | Proceedings - International Symposium on High-Performance Computer Architecture | conference and proceedings | 1.114 | 97 | 35 | 67 | 831 | 361 | 63 | 5.39 | 23.74 | 10.00 | |
27 | Proceedings -Design, Automation and Test in Europe, DATE | conference and proceedings | 0.476 | 97 | 289 | 692 | 4227 | 1114 | 681 | 1.71 | 14.63 | 13.48 | |
28 | Proceedings of the ACM SIGCOMM Internet Measurement Conference, IMC | conference and proceedings | 1.886 | 97 | 39 | 72 | 916 | 401 | 70 | 5.57 | 23.49 | 14.06 | |
29 | IEEE Intelligent Vehicles Symposium, Proceedings | conference and proceedings | 0.774 | 93 | 89 | 415 | 1237 | 820 | 412 | 1.84 | 13.90 | 12.06 | |
30 | IEEE Wireless Communications and Networking Conference, WCNC | conference and proceedings | 0.434 | 93 | 808 | 830 | 10502 | 1004 | 820 | 1.21 | 13.00 | 20.74 | |
31 | Proceedings - 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition, CVPR 2005 | conference and proceedings | 2.970 | 92 | 0 | 346 | 0 | 2081 | 341 | 6.01 | 0.00 | 0.00 | |
32 | Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) | conference and proceedings | 0.595 | 87 | 359 | 1260 | 4544 | 1065 | 1247 | 0.83 | 12.66 | 11.49 | |
33 | IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC | conference and proceedings | 0.439 | 85 | 193 | 586 | 2819 | 534 | 579 | 0.84 | 14.61 | 14.58 | |
34 | Proceedings of the IEEE Visualization Conference | conference and proceedings | 0.393 | 85 | 0 | 128 | 0 | 280 | 126 | 2.19 | 0.00 | 0.00 | |
35 | Digest of Technical Papers - Symposium on VLSI Technology | conference and proceedings | 2.080 | 83 | 94 | 288 | 644 | 795 | 284 | 2.52 | 6.85 | 13.84 | |
36 | IEEE International Test Conference (TC) | conference and proceedings | 0.710 | 83 | 128 | 492 | 1865 | 480 | 490 | 0.86 | 14.57 | 13.71 | |
37 | Proceedings - IEEE International Symposium on Circuits and Systems | conference and proceedings | 0.342 | 83 | 1012 | 4300 | 10010 | 3032 | 4288 | 0.71 | 9.89 | 16.86 | |
38 | IEEE MTT-S International Microwave Symposium Digest | conference and proceedings | 0.853 | 80 | 525 | 1588 | 4506 | 1714 | 1513 | 1.03 | 8.58 | 13.28 | |
39 | Proceedings - Electronic Components and Technology Conference | conference and proceedings | 0.465 | 80 | 317 | 952 | 3998 | 1255 | 946 | 1.33 | 12.61 | 14.21 | |
40 | IECON Proceedings (Industrial Electronics Conference) | conference and proceedings | 0.255 | 79 | 536 | 1989 | 6347 | 893 | 1982 | 0.44 | 11.84 | 18.35 | |
41 | Proceedings of the International Conference on Autonomous Agents | conference and proceedings | 0.366 | 77 | 268 | 580 | 3250 | 531 | 576 | 0.92 | 12.13 | 16.46 | |
42 | Proceedings - Annual Computer Security Applications Conference, ACSAC | conference and proceedings | 0.697 | 76 | 46 | 143 | 1132 | 316 | 138 | 1.79 | 24.61 | 13.97 | |
43 | Proceedings of the Hawaii International Conference on System Science | conference and proceedings | 0.276 | 76 | 0 | 497 | 0 | 682 | 496 | 1.00 | 0.00 | 0.00 | |
44 | IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC | conference and proceedings | 0.275 | 74 | 881 | 1703 | 10079 | 1144 | 1692 | 0.70 | 11.44 | 16.53 | |
45 | IEEE Aerospace Conference Proceedings | conference and proceedings | 0.310 | 73 | 460 | 1379 | 6304 | 817 | 1371 | 0.55 | 13.70 | 13.41 | |
46 | ASHRAE Transactions | conference and proceedings | 0.509 | 70 | 120 | 478 | 1822 | 236 | 469 | 0.47 | 15.18 | 11.84 | |
47 | Proceedings of the IEEE Power Engineering Society Transmission and Distribution Conference | conference and proceedings | 0.148 | 70 | 0 | 767 | 0 | 220 | 765 | 0.29 | 0.00 | 0.00 | |
48 | Proceedings - IEEE Military Communications Conference | conference and proceedings | 0.237 | 69 | 656 | 1308 | 7559 | 727 | 1303 | 0.52 | 11.52 | 18.35 | |
49 | Proceedings - International Conference on Network Protocols, ICNP | conference and proceedings | 0.994 | 69 | 45 | 111 | 854 | 294 | 104 | 1.92 | 18.98 | 16.53 | |
50 | GIS: Proceedings of the ACM International Symposium on Advances in Geographic Information Systems | conference and proceedings | 0.574 | 67 | 69 | 87 | 1077 | 164 | 83 | 1.53 | 15.61 | 26.83 |
Follow us on @ScimagoJR
Scimago Lab, Copyright 2007-2024. Data Source: Scopus®